Design Automation Framework for Application-Specific Logic-in-Memory Blocks

Qiuling Zhu, Kaushik Vaidyanathan, Ofer Shacham, Mark Horowitz, Larry Pileggi, Franz Franchetti
2012 2012 IEEE 23rd International Conference on Application-Specific Systems, Architectures and Processors  
This paper presents a design methodology for hardware synthesis of application-specific logic-in-memory (LiM) blocks. Logic-in-memory designs tightly integrate specialized computation logic with embedded memory, enabling more localized computation, thus save energy consumption. As a demonstration, we present an end-to-end design framework to automatically synthesize an interpolation based logic-inmemory block named interpolation memory, which combines a seed table with simple arithmetic logic
more » ... efficiently evaluate functions. In order to support multiple consecutive seed data access that is required in the interpolation operation, we synthesize the physical memory into the novel rectangularaccess smart memory blocks. We evaluated a large design space of interpolation memories in sub-20 nm commercial CMOS technology by using the proposed design framework. Furthermore, we implemented a logic-in-memory based computed tomography (CT) medical image reconstruction system and our experimental results show that the logic-in-memory computing method achieves orders of magnitude of energy saving compared with the traditional in-processor computing.
doi:10.1109/asap.2012.21 dblp:conf/asap/ZhuVSHPF12 fatcat:ytjipw3w4vd33a5htlwjimg5ni