Memory Access Aware Mapping for Networks-on-Chip

Xi Jin, Nan Guan, Qingxu Deng, Wang Yi
2011 2011 IEEE 17th International Conference on Embedded and Real-Time Computing Systems and Applications  
Networks-on-Chip (NoC) has been introduced to offer high on-chip communication bandwidth for largescale multi-core systems. However, the communication bandwidth between NoC chips and off-chip memories is relatively low, which seriously limits the overall system performance. So optimizing the off-chip memory communication efficiency is a crucial issue in the NoC system design flow. In this paper, we present a memory access aware mapping algorithm for NoC, which explores SDRAM access
more » ... on in order to offer higher off-chip memory communication efficiency, and eventually achieve higher overall system performance. To the best of our knowledge, this is the first work to consider off-chip memory communication efficiency in application mapping on NoC. Experimental results showed that, comparing with classical NoC mapping algorithms, our algorithm can significantly improve the memory utilization and overall system throughput (on average 60% improvement).
doi:10.1109/rtcsa.2011.31 dblp:conf/rtcsa/JinGDY11 fatcat:jtqfhypzifbblowpjwqc6ofpji