A Two-Dimensional Superscalar Processor Architecture

Sascha Uhrig, Basher Shehan, Ralf Jahr, Theo Ungerer
2009 2009 Computation World: Future Computing, Service Computation, Cognitive, Adaptive, Content, Patterns  
This paper proposes a new processor architecture optimized for execution of sequential instruction streams. The architecture, called Grid Alu Processor (GAP), comprises an inorder superscalar pipeline front-end enhanced by a configuration unit able to dynamically issue dependent and independent standard machine instructions simultaneously to the Arithmetic Logic Uunits (ALUs) organized in a two-dimensional array. In contrast to well-known coarse-grained reconfigurable architectures no special
more » ... nthesis tools are required and no configuration overhead occurs. Simulations of the GAP show a maximum Instructions Per Cycle (IPC) speedup of about 2.56 compared to the results of an equivalently configured SimpleScalar processor simulator.
doi:10.1109/computationworld.2009.46 fatcat:53hhjvdovnhp5jvzh5f2xj33gq