Unknown Blocking Scheme for Low Control Data Volume and High Observability

Seongmoon Wang, Wenlong Wei, Srimat T. Chakradhar
2007 2007 Design, Automation & Test in Europe Conference & Exhibition  
This paper presents a new blocking logic to block unknowns for temporal compactors. The proposed blocking logic can reduce data volume required to control the blocking logic and also increase the number of scan cells that are observed by the temporal compactors. Control patterns, which describe values required at the control signals of the blocking logic, are compressed by LFSR reseeding. In this paper, the blocking logic gates for some groups of scan chains that do not capture unknowns are
more » ... ssed. Since all the scan cells in these scan chain groups are observed without specifying the corresponding bits in control patterns, fewer specified bits are required and more scan cells are observed. The seed size is further reduced by reducing numbers of specified bits in the densely specified control patterns. The proposed method can always achieve the same fault coverage that can be achieved by direct observation of scan chains. Experiments with large industrial designs clearly demonstrate that the proposed method is scalable to large circuits. Hardware overhead for the proposed blocking logic is very low. 978-3-9810801-2-4/DATE07 © 2007 EDAA M Blocking Logic
doi:10.1109/date.2007.364563 dblp:conf/date/WangWC07 fatcat:x7bjjfu5srexpn5lzlt57evo74