Performance of Graceful Degradation for Cache Faults

Hyunjin Lee, Sangyeun Cho, Bruce R. Childers
2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07)  
In sub-90nm technologies, more frequent hard faults pose a serious burden on processor design and yield control. In addition to manufacturing-time chip repair schemes, microarchitectural techniques to make processor components resilient to hard faults will become increasingly important. This paper considers defects in cache memory and studies their impact on program performance using a fault degradable cache model. We first describe how defects at the circuit level in cache manifest themselves
more » ... t the microarchitecture level. We then examine several strategies for masking faults, by disabling faulty resources, such as lines, sets, ways, ports, or even the whole cache. We also propose an efficient cache set remapping scheme to recover lost performance due to failed sets. Using a new simulation tool, called CAFÉ, we study how the cache faults impact program performance under the various masking schemes.
doi:10.1109/isvlsi.2007.81 dblp:conf/isvlsi/LeeCC07 fatcat:5qkwz6wwkzesdnkivg7m3zvb44