Clock rate versus IPC

Vikas Agarwal, M. S. Hrishikesh, Stephen W. Keckler, Doug Burger
2000 Proceedings of the 27th annual international symposium on Computer architecture - ISCA '00  
The doubling of microprocessor performance every three years has been the result of two factors: more transistors per chip and superlinear scaling of the processor clock with technology generation. Our results show that, due to both diminishing improvements in clock rates and poor wire scaling as semiconductor devices shrink, the achievable performance growth of conventional microarchitectures will slow substantially. In this paper, we describe technology-driven models for wire capacitance,
more » ... delay, and microarchitectural component delay. Using the results of these models, we measure the simulated performance-estimating both clock rate and IPCof an aggressive out-of-order microarchitecture as it is scaled from a 250nm technology to a 35nm technology. We perform this analysis for three clock scaling targets and two microarchitecture scaling strategies: pipeline scaling and capacity scaling. We find that no scaling strategy permits annual performance improvements of better than 12.5%, which is far worse than the annual 50-60% to which we have grown accustomed.
doi:10.1145/339647.339691 fatcat:d2tvtxr6zba7xfsxldilcbyzna