EmerGPU: Understanding and mitigating resonance-induced voltage noise in GPU architectures

Renji Thomas, Naser Sedaghati, Radu Teodorescu
2016 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)  
This paper characterizes voltage noise in GPU architectures running general purpose workloads. In particular, it focuses on resonance-induced voltage noise, which is caused by workload-induced fluctuations in power demand that occur at the resonance frequency of the chip's power delivery network. A distributed power delivery model at functional unit granularity was developed and used to simulate supply voltage behavior in a GPU system. We observe that resonance noise can lead to very large
more » ... ge droops and protecting against these droops by using voltage guardbands is costly and inefficient. We propose EmerGPU, a solution that detects and mitigates resonance noise in GPUs. EmerGPU monitors workload activity levels and detects oscillations in power demand that approach resonance frequencies. When such conditions are detected, EmerGPU deploys a mitigation mechanism implemented in the warp scheduler that disrupts the resonance activity pattern. EmerGPU has no impact on performance and a small power cost. Reducing voltage noise improves system reliability and allows for smaller voltage margins to be used, reducing overall energy consumption by an average of 21%.
doi:10.1109/ispass.2016.7482076 dblp:conf/ispass/ThomasST16 fatcat:hkc7wd67avhh5k4c3dd2u3erti