Smart E-Beam for Defect Identification & Analysis in the Nanoscale Technology Nodes: Technical Perspectives

Ankush Oberai, Jiann-Shiun Yuan
2017 Electronics  
Optical beam has been the veteran inspector of semiconductor wafer production house, ever since the birth of integrated circuit (IC). As technology and market place raise the bar on chip density, Moore's law stretches to the limit. Due to its inherent physical limitations, the optical method just cannot see the measuring rod of silicon industry getting recalibrated to finer nano-scales. Electron Beam Inspection (EBI), by virtue of its high resolution, has started to rule the nodes at 10 nm and
more » ... elow. As the geometries shrink, defects can reside deep within the structures. EBI can find those tiny defects, which otherwise go scot-free with optical tools. However, EBI suffers the handicap of poor performance and low throughput. It is therefore essential to complement EBI by judiciously crafting out the methods for getting the desired performance, a subject matter to which, this article is committed to. The research torchlights the critical EBI throughput problem to round-up "care-areas". Such guided and focused inspection augments throughput, thereby positioning EBI as the industrial grade candidate in finer nanometer segment. Besides gearing up to current trends, the smart EBI school of thought is inspirational, to fuel the aspirations for 1 nanometer scale.
doi:10.3390/electronics6040087 fatcat:6uytwvh37bchhmeskubhvrtxuu