TANGO: Transparent heterogeneous hardware Architecture deployment for eNergy Gain in Operation [article]

Karim Djemame and Django Armstrong and Richard Kavanagh and Jean-Christophe Deprez and Ana Juan Ferrer and David Garcia Perez and Rosa Badia and Raul Sirvent and Jorge Ejarque and Yiannis Georgiou
2016 arXiv   pre-print
The paper is concerned with the issue of how software systems actually use Heterogeneous Parallel Architectures (HPAs), with the goal of optimizing power consumption on these resources. It argues the need for novel methods and tools to support software developers aiming to optimise power consumption resulting from designing, developing, deploying and running software on HPAs, while maintaining other quality aspects of software to adequate and agreed levels. To do so, a reference architecture to
more » ... support energy efficiency at application construction, deployment, and operation is discussed, as well as its implementation and evaluation plans.
arXiv:1603.01407v1 fatcat:3yjffrybxfbondmjgq5vy5fjd4