Pipeline gating

Srilatha Manne, Artur Klauser, Dirk Grunwald
1998 SIGARCH Computer Architecture News  
Branch prediction has enabled microprocessors to increase instruction level parallelism (ILP) by allowing programs to speculatively execute beyond control boundaries. Although speculative execution is essential for increasing the instructions per cycle ([PC). it does come at a cost. A large amount of unnecessaq work results from wrong-path instructions entering the pipeline due to branch misprediction. Results generated with the SimpleScalar tool set using a 4-way issue pipeline and various
more » ... ch predictors show an instruction overhead of 16% to 105% for even' instruction committed. The instruction overhead will increase in. the future as processors use more aggressive speculation and wider issue widths [9]. In this paper: we present an innovative method for power reduction which, unlike previous work that sacrificed flexibility or performance, reduces power in high-performance microprocessors without impacting performance. In particular; nre introduce a hardware mechanism called pipeline gating to control rampant speculation in the pipeline. We present inexpensive mechanisms for determining when a branch is likely to mispredict. and for stopping wrong-path instructions from entering the pipeline. Results show up to a 38% reduction in wrong-path instructions with a negligible petj%ormance loss (zz 1%). Best of all, even in programs with a high branch prediction accuracy, pegormance does not noticeably degrade. Our analysis indicates that there is little risk in implementing this method in existing processors since it does not impact performance and can beneft energy reduction.
doi:10.1145/279361.279377 fatcat:pksitnx445cwnndd5dole72jua