Single step direct-write photomask made from bimetallic Bi/In thermal resist

Glenn H. Chapman, Richard Y. Tu, Alberto Pique, Koji Sugioka, Peter R. Herman, Jim Fieret, Friedrich G. Bachmann, Jan J. Dubowski, Willem Hoving, Kunihiko Washio, David B. Geohegan, Frank Traeger (+1 others)
2003 Photon Processing in Microelectronics and Photonics II  
A new single step direct-write photomask process has been proposed by using Bi/In bimetallic thermal resist which turns almost transparent with high energy laser exposure. The Bi over In metallic films, each layer ~40 nm thick, were DCsputtered onto quartz mask plate substrates in a single pump-down chamber. Before laser exposure the Bi/In had 2.91 Optical Density. Bi/In is a bimetallic thermal resist and hence shows near wavelength invariance exposure sensitivity from Near IR to UV light. For
more » ... i/In exposure, up to 0.9 W Argon laser (514 nm) beam was focused by an f=50 mm lens to a 10 micron spot. When writing a mask the Bi/In coated sample was placed on a computer-controlled high accuracy X-Y table and the pattern was raster-scanned by the laser at 10mm/sec. After exposure the Bi/In film became nearly transparent (0.26 OD) at I-line (365 nm) wavelength, and remained conductive. Bi/In photomasks have been used together with a standard mask aligner to pattern the oxide and Al layer during the manufacturing of test solar cell devices in the lab. Experiments also showed that annealing the as-deposited films at 90°C before laser exposure increase the Bi/In transparency.
doi:10.1117/12.479415 fatcat:7mhfxssizzcqrcfst5uuryresa