Design of Reusable Context Pipelining for Coarse Grained Reconfigurable Architecture

P. Murali
2018 International Journal for Research in Applied Science and Engineering Technology  
A Coarse-Grained Reconfigurable Architecture (CGRA) is a processing platform which constitutes an interconnection of coarse-grained computation units. CGRAs are a well-researched topic and the design space of a CGRA is quite large. A typical CGRA requires many processing elements and a configuration cache for reconfiguration of its processing element array. However, such a structure consumes significant area and power. Therefore, designing cost-effective CGRA has been a serious concern for
more » ... bility of CGRA-based embedded systems. Reusable Context Pipelining is a universal approach in reducing power and enhancing performance for CGRA because it can be achieved by closing the power performance gap between the low powers oriented spatial mapping and high performance oriented temporal mapping. By focusing on the processor elements the power and area will be reduced. The processing element consists of arithmetic and logic unit, array multiplier, saturation arithmetic logic and multiplexer. The above components are designed for processing element which are used in CGRA. Each components in processing element are simulated using Xilinx ISE. Finally, simulation results and final transient response of the schematic design of processing element are visualized.
doi:10.22214/ijraset.2018.4596 fatcat:6q4jpnj2e5emhgf2m57pfszrti