Behavioral Modeling and Simulation of Semiconductor Devices and Circuits Using VHDL-AMS

Gh Karimi, S Mirzakuchaki
2008 Iranian Journal of Electrical & Electronic Engineering   unpublished
During the past few years, a lot of work has been done on behavioral models and simulation tools. But a need for modeling strategy still remains. The VHDL-AMS language supports the description of analog electronic circuits using Ordinary Differential Algebraic Equations (ODAEs), in addition to its support for describing discrete-event systems. For VHDL-AMS to be useful to the analog design community, efficient semiconductor device models must be available. In this paper, potential merits of the
more » ... new IEEE VHDL-AMS standard in the field of modeling semiconductor devices are discussed. The device models for diodes and the principles, techniques, and methodology used to achieve the design of an analytical third generation Spice transistor MOS model named EKV are presented. This is done by taking into account the thermoelectrical effect in VHDL-AMS, and with relevant parameters set to match a deep submicron technology developed in VHDL-AMS. The models were validated using System Vision from Mentor Graphics.
fatcat:tlxhfilurvcrvhs7iczjq5fysm