Sams

Chunyang Gou, Georgi K. Kuzmanov, Georgi N. Gaydadjiev
2008 Proceedings of the 2008 workshop on Memory access on future processors a solved problem? - MAW '08  
In this paper, we analyze the problem of supporting conflictfree access for multiple stride families in parallel memory schemes targeted for SIMD processing systems. We propose a Single-Affiliation Multiple-Stride (SAMS) scheme to support both unit-stride and strided conflict-free vector memory accesses. We compare our scheme against other previously proposed techniques using buffers and inter-vector out-of-order access. The main advantage of our proposal is that the atomic parallel access is
more » ... pported without limiting the vector lengths. This provides better support when short vectors are considered. Our scheme also has the merit of better memory module utilization compared to the solutions with additional modules. Synthesis results for reconfigurable Virtex2-Pro FPGA technology indicate that the address translation of the SAMS scheme has efficient hardware implementation, which has a logic delay of less than 3 ns and trivial hardware resource utilization.
doi:10.1145/1366219.1366220 fatcat:zslpaf5vw5exhfrqeyqkjxm72m