ReVIVaL

Xiaoyao Liang, Gu-Yeon Wei, David Brooks
2008 SIGARCH Computer Architecture News  
Process variations are poised to significantly degrade performance benefits sought by moving to the next nanoscale technology node. Parameter fluctuations in devices can introduce large variations in peak operation among chips, among cores on a single chip, and among microarchitectural blocks within one core. Hence, it will be difficult to only rely on traditional frequency binning to efficiently cover the large variations that are expected. Furthermore, multiple voltage/frequency domains
more » ... uce significant hardware overhead and alone cannot address the full extent of delay variations expected in future multi-core systems. In this paper, we present Re-VIVaL, which combines two fine-grained post-fabrication tuning techniques-voltage interpolation(VI) and variable latency(VL). We show that the frequency variation between chips, between cores on one chip, and between functional units within cores can be reduced to a very small range. The effectiveness of these techniques are further verified through experiments on test chips fabricated in a 130nm CMOS process. Detailed architectural simulations of multicore processors demonstrate significant performance and power advantages are possible by combining variable latency with voltage interpolation.
doi:10.1145/1394608.1382138 fatcat:mka36s3glfby5cesynittpn4fa