Reducing cache misses using hardware and software page placement

Timothy Sherwood, Brad Calder, Joel Emer
1999 Proceedings of the 13th international conference on Supercomputing - ICS '99  
As the gap between memory and processor speeds continues to widen, cache efficiency is an increasingly important component of processor performance. Compiler techniques have been used to improve instruction and data cache performance for virtually indexed caches by mapping code and data with temporal locality to different cache blocks. In this paper we examine the performance of compiler and hardware approaches for reordering pages in physically addressed caches to eliminate cache misses. The
more » ... ftware approach provides a color mapping at compile-time for code and data pages, which can then be used by the operating system to guide its allocation of physical pages. The hardware approach works by adding a page remap field to the TLB, which is used to allow a page to be remapped to a different color in the physically indexed cache while keeping the same physical page in memory. The results show that software page placement provided a 28% speedup and hardware page placement provided a 21% speedup on average for a superscalar processor. For a 4 processor single-chip multiprocessor, the miss rate was reduced from 8.7% down to 7.2% on average.
doi:10.1145/305138.305189 dblp:conf/ics/SherwoodCE99 fatcat:asdc5hmtxje6fotrvmpu6md23i