HaVOC

Luis Angel Bathen, Nikil Dutt
2012 Proceedings of the 49th Annual Design Automation Conference on - DAC '12  
Hybrid on-chip memories that combine Non-Volatile Memories (NVMs) with SRAMs promise to mitigate the increasing leakage power of traditional on-chip SRAMs. We present HaVOC: a run-time memory manager that virtualizes the hybrid on-chip memory space and supports efficient sharing of distributed ScratchPad Memories (SPMs) and NVMs. HaVOC allows programmers and the compiler to partition the application's address space and generate data/instruction block layouts considering virtualized hybrid
more » ... s spaces. We define a data volatility metric used by our hybrid memoryaware compilation flow to generate memory allocation policies that are enforced at run-time by a filter-inspired dynamic memory algorithm. Our experimental results with a set of embedded benchmarks executing simultaneously on a Chip-Multiprocessor with hybrid NVM/SPMs show that HaVOC is able to reduce execution time and energy by 60.8% and 74.7% respectively with respect to traditional multitasking based SPM allocation policies.
doi:10.1145/2228360.2228438 dblp:conf/dac/BathenD12 fatcat:x2vm3ilqtfa6pfdcs7x7qpyjha